Picture of Roman Lysecky

Roman Lysecky

Professor Emeritus
Department of Electrical and Computer Engineering
University of Arizona
Tucson, AZ 85721

Email: rlysecky@ece.arizona.edu
Phone: 520-621-6192
Office: ECE 356F

Patents

  1. R. Lysecky, J. Rozenblit, J. Sametinger, A. Rao, N. Carreon. Runtime Adaptive Risk Assessment and Automated Mitigation, Patent Application PCT/US19/59551
  2. F. Vahid, R. Lysecky, G. Stitt. Warp Processor for Dynamic Hardware/Software Partitioning. US Patent 7,356,672, 2008.

Books

  1. R. Lysecky, F. Vahid, E. Olds. Data Structures Essentials: Pseudocode with C++ Examples. zyBooks, 2021 - 2022.
  2. R. Lysecky, F. Vahid, E. Olds. Data Structures Essentials: Pseudocode with Java Examples. zyBooks, 2020 - 2022.
  3. R. Lysecky, F. Vahid. Data Structures Essentials: Pseudocode with Python Examples. zyBooks, 2019 - 2022.
  4. F. Vahid, R. Lysecky. Fundamental Programming Concepts. zyBooks, 2018 - 2021.
  5. F. Vahid, R. Lysecky. Introduction to Computer Systems and Assembly Programming. zyBooks, 2017, 2019.
  6. F. Vahid, R. Lysecky. Troubleshooting Basics. zyBooks, 2016- 2019.
  7. R. Lysecky, F. Vahid. Data Structures Essentials. zyBooks, 2015 - 2022.
  8. F. Vahid, R. Lysecky, Digital Design, zyBooks, 2015, 2017 - 2022.
  9. R. Lysecky, A. Lizarraga. Programming in Java. zyBooks, 2013 - 2022.
  10. R. Lysecky, A. Lizarraga. Java Early Objects. zyBooks, 2013 - 2022.
  11. R. Lysecky, A. Lizarraga. AP Java. zyBooks, 2013 - 2022.
  12. R. Lysecky, F. Vahid. Programming in C. zyBooks, 2012 - 2022.
  13. F. Vahid, R. Lysecky. Programming in C++. zyBooks, 2012 - 2022.
  14. F. Vahid, R. Lysecky. VHDL for Digital Design. John Wiley and Sons, 2007.
  15. F. Vahid, R. Lysecky. Verilog for Digital Design. John Wiley and Sons, 2007.

Book Chapters

  1. R. Lysecky (Senior Contributor). Technical Communication Skills, zyBooks, 2022.
  2. R. Lysecky (Senior Contributor). Web Programming, zyBooks, 2016 - 2022.
  3. G.D. Burd, D. Tomanek, P. Blowers, M. Bolger, J. Cox, L. Elfring, E. Grubbs, J. Hunter, K. Johns, L. Lazos, R. Lysecky, J.A. Milsom, I. Novodvorsky, J. Pollard, E. Prather, V. Talanquer, K. Thamvichai, H. Tharp, C. Wallace. Developing Faculty Cultures for Evidence-Based Teaching Practices in STEM: A Progress Report. In Transforming Institutions, Undergraduate STEM Education for the 21st Century, Purdue University Press, pp. 90-102, 2015.
  4. R. Lysecky, K. Shankar. Methods for Non-Intrusive Dynamic Application Profiling and Soft Error Detection. In Embedded and Networking Systems Design, Software, and Implementation, Chapman and Hall/CRC, 2013.
  5. A. Gordon-Ross, S. Lysecky, R. Lysecky, A. Munir, A. Shenoy, J. Hiner. Dynamic Profiling and Optimiaation Methodologies for Sensor Networks. In Building Sensor Networks From Design to Applications, CRC Press, 2013.
  6. R. Lysecky. Hardware Description Languages. In Digital Design, John Wiley and Sons, 2006.

Journals

  1. Y. Liao, T. Adegbija, R. Lysecky. A high-level synthesis approach for precisely-timed, energy-efficient embedded systems. Sustainable Computing: Informatics and Systems, Volume 35, 12 pages, 2022.
  2. B. Liu, K. Chen, M. Seo, J. Roveda, R. Lysecky. Methods and Analysis of Automated Trace Alignment Under Power Obfuscation in Side Channel Attacks. Journal of Hardware and Systems Security, Vol. 5, pp. 127–142, 2021.
  3. G. Gunter, D. Gloudemans, R. Stern, S. McQuade, R. Bhadani, M. Bunting, M. L. Delle Monache, R. Lysecky, B. Seibold, J. Sprinkle, B. Piccoli, D. Work. Are commercially implemented adaptive cruise control systems string stable? Transactions on Intelligent Transportation Systems, Vol. 22, No. 11, pp. 6992-7003, 2021.
  4. N. Carreon, S. Lu, R. Lysecky. Probabilistic Estimation of Threat Intrusion in Embedded Systems for Runtime Detection. ACM Transactions on Embedded Computing Systems (TECS), Vol. 20, No. 2, Article 14, 2021.
  5. C. Bresch, D. Hely, S. Chollet, R. Lysecky. SecPump: A Connected Open Source Infusion Pump for Security Research Purposes. IEEE Embedded Systems Letters (ESL), Vol. 13, No. 1, pp. 21-24, 2021.
  6. C. Bresch, D. Hely, S. Chollet, R. Lysecky, I. Parissis. TrustFlow-X: A Practical Framework for Fine-Grained Control-Flow Integrity in Critical Systems. ACM Transactions on Embedded Computing Systems (TECS), 19(5), Article 36, 26 pages, 2020.
  7. F. Vahid, T. Givargis and R. Lysecky. A Pattern Recognition Framework for Embedded Systems. The American Society for Engineering Education (ASEE) Computers in Education (CoED) Journal, Vol. 11, No. 1, 13 pages, 2020.
  8. A. Lizarraga, J. Sprinkle, R. Lysecky. Automated Model-based Optimization of Data- Adaptable Embedded Systems. ACM Transactions on Embedded Computing Systems (TECS), 19(1), Article 8, 22 pages, 2020.
  9. H. Nam, R. Lysecky. Security-Aware Multi-Objective Optimization of Distributed Reconfigurable Embedded Systems. Journal of Parallel and Distributed Computing, Volume 133, November 2019, Pages 377-390, 2019.
  10. S. Lu, R. Lysecky. Data-driven Anomaly Detection with Timing Features for Embedded Systems. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 24, No. 3, Article 33, 27 pages, 2019.
  11. M. Seo, R. Lysecky. Non-Intrusive In-Situ Requirements Monitoring of Embedded System. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 23, No. 5, Article 58, 27 pages, 2018.
  12. H. Nam, R. Lysecky. Mixed Cryptography Constrained Optimization for Heterogeneous, Multicore, and Distributed Embedded Systems. Computers, Vol. 7, No. 2, 29 pages, 2018.
  13. A. Rao, N. Carreon, R. Lysecky, J. Rozenblit. Probabilistic Security Threat Detection for Risk Management in Cyber-physical Medical Systems, IEEE Software, Vol. 35, No. 1, pp. 38-43, January/February 2018.
  14. S. Lu, R. Lysecky. Time and Sequence Integrated Runtime Anomaly Detection for Embedded Systems, ACM Transactions on Embedded Computing Systems (TECS), Vol. 17, No. 2, Article 38, 27 pages, 2017.
  15. N. Sandoval, C. Mackin, S. Whitsitt, V. S. Gopinath, S. Mahadevan, A. Milakovich, K. Merry, J. Sprinkle, R. Lysecky. Task Transition Scheduling for Data-Adaptable Systems. ACM Transactions on Embedded Computing Systems (TECS), Vol. 16, No. 4, Article 105 (May 2017), 28 pages, 2017.
  16. M. Seo and R. Lysecky. In-Situ Requirements Monitoring of Embedded Systems. IEEE Embedded Systems Letters (ESL), Vol. 8, No. 3, pp. 49-52, 2016.
  17. J. C. Lee and R. Lysecky. System-Level Observation Framework for Non-Intrusive Runtime Monitoring of Embedded Systems. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 20, No. 3, pp. 1-27, 2015.
  18. J. Sametinger, J. Rozenblit, R. Lysecky, P. Ott. Security Challenges for Medical Devices. Communication of ACM (CACM), Vol. 58 No. 4, Pages 74-82, 2015.
  19. L. Ding, A. Lizarraga, A. Shenoy, A. Gordon-Ross, S. Lysecky, R. Lysecky. Application-Specific Customization of Dynamic Profiling Mechanisms for Sensor Networks. IEEE Access, Vol. 3, pp.303-322, 2015.
  20. J. C. Lee, J. Vance, and R. Lysecky. Hardware-based Event Stream Ordering for System-level Observation Framework. IEEE Embedded Systems Letters (ESL), Vol. 6, No. 4, pp. 81-84, 2014.
  21. J. Sun, R. Lysecky, K. Shankar, A. Kodi, A. Louri, J. Roveda. Workload Assignment Considering NBTI Degradation in Multi-core Systems, ACM Journal on Emerging Technologies in Computing Systems (JETC), Vol. 10, No. 1, Article 4, pp. 1-22, 2014.
  22. A. Lizarraga, R. Lysecky, S. Lysecky, A. Gordon-Ross. Dynamic Profiling and Fuzzy Logic Based Optimization of Sensor Networks Platforms. ACM Transactions on Embedded Computing Systems (TECS), Vol. 13, No. 3, Article 51, pp. 1-29, 2013.
  23. J. Mu, K. Shankar, R. Lysecky. Profiling and Online System-Level Performance and Power Estimation for Dynamically Adaptable Embedded Systems. ACM Transactions on Embedded Computing Systems (TECS), Vol. 12, No. 3, Article 85, pp. 1-20, 2013.
  24. A. Munir, A. Gordon-Ross, S. Lysecky, R. Lysecky. A Lightweight Dynamic Optimization Methodology and Application Metrics Estimation Model for Wireless Sensor Networks. Sustainable Computing, Informatics and Systems (SUSCOM), Vol. 3, No. 2, pp. 94–108, 2013.
  25. A. Lizarraga, L. Ding, J. Hiner, R. Lysecky, S. Lysecky, A. Gordon-Ross. ATLeS-SN A Modular Simulator for Wireless Sensor Networks. Design Automation for Embedded Systems (DAEM), Vol. 16, No. 4 , pp. 265-291, 2012.
  26. J. Sun, R. Zheng, J. Velamala, Y. Cao, R. Lysecky, K. Shankar, J. Roveda. A Self-tuning Design Methodology for Power-efficient Multi-core Systems. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 18, No. 1, Article 4, pp. 1-24, 2012.
  27. A. Munir, A. Gordon-Ross, S. Lysecky, R. Lysecky. A One-Shot Dynamic Optimization Methodology and Application Metrics Estimation Model for Wireless Sensor Networks. IARIA Journal of Advances in Networks and Services, IARIA International Journal on Advances in Networks and Services (IJANS), Vol. 4, No. 3 & 4, pp. 278-291, 2012.
  28. A. Nair, K. Shankar, R. Lysecky. Efficient Hardware-Based Non-Intrusive Dynamic Application Profiling. ACM Transactions on Embedded Computing Systems (TECS), Vol. 10, No. 3, Article 32, pp. 1-22, 2011.
  29. K. Shankar, R. Lysecky. Control Focused Soft Error Detection for Embedded Applications, IEEE Embedded Systems Letters (ESL), Vol. 2, No. 4, pp 127-130, 2010.
  30. A. Shenoy, J. Hiner, S. Lysecky, R. Lysecky, A. Gordon-Ross. Evaluation of Dynamic Profiling Methodologies for Optimization of Sensor Networks. IEEE Embedded Systems Letters, Vol. 2, No. 1, pp. 10-13, 2010.
  31. R. Kalra, R. Lysecky. Configuration Locking and Schedulability Evaluation for Reduced Reconfiguration Overheads of Reconfigurable Systems. IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol. 18, No. 4, pp. 671-674, 2010.
  32. J. Mu, R. Lysecky. Autonomous Hardware/Software Partitioning and Voltage/Frequency Scaling for Low-Power Embedded Systems. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 15, No. 1, Article 2, pp. 1-20, 2009.
  33. L. Saldanha, R. Lysecky. Float-to-Fixed and Fixed-to-Float Hardware Converters for Rapid Hardware/Software Partitioning of Floating Point Software Applications to Static and Dynamic Fixed Point Coprocessors. Journal on Design Automation of Embedded Systems, Vol. 13, No. 3, pp. 139-157, 2009.
  34. R. Lysecky, F. Vahid. Design and Implementation of a MicroBlaze-based Warp Processor. ACM Transactions on Embedded Computing Systems (TECS), Vol. 8, No. 3, Article 22, pp. 1-22, 2009.
  35. R. Lysecky. Scalability and Parallel Execution of Warp Processing - Dynamic Hardware/Software Partitioning. International Journal on Parallel Programming, Vol. 36, No.5, pp. 478-492, October 2008.
  36. F. Vahid, G. Stitt, R. Lysecky. Warp Processing: Dynamic Translation of Binaries to FPGA Circuits. IEEE Computer, Vol. 41, No. 7, pp. 40-46, July 2008.
  37. R. Lysecky, G. Stitt, F. Vahid. Warp Processors. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 11, No. 3, pp. 659 - 681, 2006.
  38. C. Zhang, F. Vahid, R. Lysecky. A Self-Tuning Cache Architecture for Embedded Systems. ACM Transactions on Embedded Computing Systems (TECS), Vol. 3, No. 2, pp. 407-425, May 2004.
  39. R. Lysecky, S. Cotterell, F. Vahid. A Fast On-Chip Profiler Memory using a Pipelined Binary Tree. IEEE Transaction on Very Large Scale Integration (TVLSI), Vol. 12, No. 1, pp. 120-122, January 2004.
  40. F. Vahid, R. Lysecky, C. Zhang, G. Stitt. Highly Configurable Platforms for Embedded Computing Systems. Microelectronics Journal, Vol. 34, No. 11, pp. 1025-1029, 2003.
  41. R. Lysecky, F. Vahid. Pre-fetching for Improved Bus Wrapper Performance in Cores. ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 7, Number 1, pp. 58-90, January 2002.

Conference and Workshop

  1. M. Gopale, G. Ditzler, R. Lysecky, J. Roveda. Inter-Architecture Portability of Artificial Neural Networks and Side Channel Attacks. ACM Great Lakes Symposium on VLSI (GLSVLSI), 2022. Accepted
  2. C. Gordon, R. Lysecky, F. Vahid. Understanding and Promoting Earnest Completion in Online Textbooks. ASEE Virtual Annual Conference Content Access, 2022. Accepted
  3. C. Gordon, R. Lysecky, F. Vahid. Programming learners struggle as much in Python as in C++ or Java. ASEE Virtual Annual Conference Content Access, 2022. Accepted
  4. C. Gordon, R. Lysecky, F. Vahid. The Rise of Program Auto-grading in Introductory CS Courses: A Case Study of zyLabs. ASEE Virtual Annual Conference Content Access, 2021.
  5. F. Vahid, R. Lysecky, B. Miller, L. Vanderbeek. Coding Trails: Concise Representations of Student Behavior on Programming Tasks. ASEE Virtual Annual Conference Content Access, 2021.
  6. E. Kazakou, A. Edgcomb, Y. Rajasekhar, R. Lysecky, F. Vahid. Randomized, Structured, Auto-graded Homework: Design Philosophy and Engineering Examples. ASEE Virtual Annual Conference Content Access, 2021.
  7. F. Vahid, J. Allen, A. Edgcomb, R. Lysecky. Using the free Coral language and simulator to simplify first-year programming courses. ASEE First-Year Engineering Conference (FYEE), 2020.
  8. D. McKinney, A. Edgcomb, R. Lysecky, F. Vahid. Improving Pass Rates by Switching from a Passive to an Active Learning Textbook in CS0. ASEE Annual Conference, 2020.
  9. N. Carreón, A. Gilbreath, R. Lysecky. Statistical Time-based Intrusion Detection in Embedded Systems. IEEE/ACM Design Automation and Test in Europe Conference (DATE), 2020.
    Received Best Paper Award
  10. C. Bresch, D. Hely, R. Lysecky. BackFlow: Backward Edge Control Flow Enforcement for Low End ARM Microcontrollers. IEEE/ACM Design Automation and Test in Europe Conference (DATE), 2020.
  11. F. Vahid, A. Edgcomb, R. Lysecky, Y. Rajasekhar. New web-based learning content for core programming concepts using Coral. IEEE Frontiers in Education (FIE), 2019.
  12. A. Rao, N. Carreón, R. Lysecky, J. Rozenblit, J. Sametinger. Resilient Security of Medical Cyber-physical Systems. International Workshop on Cyber-Security and Functional Safety in Cyber-Physical Systems (IWCPS), 2019.
  13. A. Edgcomb, F. Vahid, R. Lysecky. Coral: An Ultra-Simple Language for Learning to Program. ASEE Annual Conference, 2019.
  14. T. Adegbija, R. Lysecky, V. V. Kumar. Right-Provisioned IoT Edge Computing: An Overview. ACM Great Lakes Symposium on VLSI (GLSVLSI), 2019.
  15. M. Seo, R. Lysecky. Automatic Extraction of Requirements from State-based Hardware Designs for Runtime Verification. ACM Great Lakes Symposium on VLSI (GLSVLSI), 2019.
  16. N. Carreon, A. Gilbreath, R. Lysecky. Window-based Statistical Timing of Subcomponents for Efficient Detection of Malware in Life-Critical Systems. Modeling and Simulation in Medicine, Spring Simulation Multi-conference, 2019.
    Received Best Paper Award
  17. G. Gunter, Y. Wang, D. Gloudemans, R. Stern, D. Work, M.L.D Monache, R. Bhadani, M. Bunting, R. Lysecky, J. Sprinkle, B. Seibold, B. Piccoli. 'WiP Abstract: String stability of commercial adaptive cruise control vehicles'. ACM/IEEE International Conference on Cyber Physical Systems (ICCPS), 2019.
  18. B. Liu, R. Lysecky, J. Roveda. Composable Template Attacks using Templates for Individual Architectural Components. International Conference on Computer Design (ICCD), 2018.
    Received Best Paper Award
  19. N. Carreon, S. Lu, R. Lysecky. Hardware-based Probabilistic Threat Detection and Estimation for Embedded Systems. International Conference on Computer Design (ICCD), 2018.
  20. M. Seo, R. Lysecky. Work in Progress: Runtime Requirements Monitoring for State-based Hardware. International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS). 2018.
  21. N. Alzahrani, F. Vahid, A. Edgcomb, R. Lysecky, S. Lysecky. An Analysis of Common Errors Leading to Excessive Student Struggle on Homework Problems in an Introductory Programming Course. ASEE Annual Conference, 2018.
  22. B. Liu, K. Chen, M. Seo, J. Roveda, R. Lysecky. Evaluation of the Complexity of Automated Trace Alignment using Novel Power Obfuscation Methods, ACM Great Lakes Symposium on VLSI (GLSVLSI), 2018.
  23. A. Rao, J. Rozenblit, R. Lysecky, J. Sametinger. Trustworthy multi-modal framework for life-critical systems security. Annual Simulation Symposium (ANSS '18). Society for Computer Simulation International, Article 17, 9 pages, 2018.
  24. N. Alzahrani, F. Vahid, A. Edgcomb, K. Nguyen, R. Lysecky. Python Versus C++: An Analysis of Student Struggle on Small Coding Exercises in Introductory Programming Courses. ACM Technical Symposium on Computer Science Education (SIGCSE), 2018.
  25. R. Lysecky, F. Vahid. Teaching Students a Systematic Approach to Debugging. ACM Technical Symposium on Computer Science Education (SIGCSE), 2018.
  26. S. Lu, R. Lysecky, J. Rozenblit. Subcomponent Timing-based Detection of Malware in Embedded Systems, International Conference on Computer Design, 2017.
  27. M. Seo, R. Lysecky. Hierarchical Non-Intrusive In-Situ Requirements Monitoring for Embedded Systems, International Conference on Runtime Verification, 2017.
  28. S. Lu, L. Lazos, R. Lysecky. FEAL: Fine-Grained Evaluation of Active Learning in Collaborative Learning Spaces, ASEE Annual Conference, 2017.
  29. A. Edgcomb, F. Vahid, R. Lysecky, S. Lysecky. An Analysis of Incorporating Small Coding Exercises as Homework in Introductory Programming Courses, ASEE Annual Conference, 2017.
  30. A. Rao, J. Rozenblit, R. Lysecky, J. Sametinger. Composite Risk Modeling for Automated Threat Mitigation in Medical Devices. Modeling and Simulation in Medicine, Spring Simulation Multi-conference, 2017.
    Received Best Paper Award
  31. A. Edgcomb, F. Vahid, R. Lysecky, S. Lysecky. Getting students to earnestly do reading, studying, and homework in an introductory programming class. ACM Technical Symposium on Computer Science Education (SIGCSE), 2017.
  32. S. Sargur, R. Lysecky. Non-Intrusive Dynamic Profiler for Multicore Embedded Systems. Asia and South Pacific Design Automation Conference (ASP-DAC), 2017.
  33. A. Lizarraga, R. Lysecky, J. Sprinkle. Model-based Fuzzy Logic Classifier Synthesis for Optimization of Data-Adaptable Embedded Systems. International Conference on InfoSymbiotics/DDDAS. 2016.
  34. F. Vahid, A. Edgcomb, S. Lysecky, R. Lysecky. New Web-Based Interactive Learning Material for Digital Design. ASEE Annual Conference, 2016.
  35. A. Lizarraga, J. Sprinkle, R. Lysecky. Model-driven Optimization of Data-Adaptable Embedded Systems. IEEE Computer Software and Applications Conference (COMPSAC), 2016.
  36. Hyunsuk Nam, R. Lysecky. Latency, Power, and Security Optimization in Distributed Reconfigurable Embedded Systems. Reconfigurable Architecture Workshop (RAW). 2016.
  37. F. Vahid, D. de Haas, S. Strawn, A. Edgcomb, S. Lysecky, R. Lysecky. A Continual Improvement Paradigm for Modern Online Textbooks. International Conference of Education, Research and Innovation (ICERI), Spain, Nov. 2015.
  38. A. Edgcomb, D. De Haas, R. Lysecky, F. Vahid. Student usage and behavioral patterns with online interactive textbook materials. International Conference of Education, Research and Innovation (ICERI), Spain, Nov. 2015.
  39. A. Edgcomb, F. Vahid, R. Lysecky. Students Learn More with Less Text that Covers the Same Core Topics. IEEE Frontiers in Education, 2015.
  40. S. Lu, R. Lysecky. Analysis of Control Flow Events for Timing-based Runtime Anomaly Detection. Workshop on Embedded Systems Security (WESS), 2015.
  41. A. Edgcomb, F. Vahid, R. Lysecky, A. Knoesen, R. Amirtharajah, M. L. Dorf. Student Performance Improvement using Interactive Textbooks: A Three-University Cross-Semester Analysis. ASEE Annual Conference, 2015.
    Received Best Paper Award
  42. S. Lu, M. Seo, R. Lysecky. Timing-based Anomaly Detection in Embedded Systems. Asia South Pacific Design Automation Conference (ASP-DAC), pp. 809-814, 2015.
  43. S. Whitsitt, J. Sprinkle, R. Lysecky. Generating Model Transformations for Mending Dynamic Constraint Violations in Cyber Physical Systems. Workshop on Domain-Specific Modeling (DSM), 2014.
  44. J. C. Lee, R. Lysecky. Area-Efficient Event Stream Ordering for Runtime Observability of Embedded Systems. IEEE/ACM Design Automation Conference (DAC), Article 130, pp. 1-6, 2014.
  45. T. Pifer, D. Schwartz, R. Lysecky, C. Seo, B. Zeigler. Discrete Event System Specification, Synthesis, and Optimization of Low-Power FPGA-based Embedded Systems. International Conference on Field-Programmable Technology (ICFPT), pp. 98-105, 2013.
  46. N. Sandoval, C. Mackin, S. Whitsitt, R. Lysecky, J. Sprinkle. Runtime Hardware/Software Task Transition Scheduling for Runtime-Adaptable Embedded Systems. International Conference on Field-Programmable Technology (ICFPT), pp. 342-345, 2013.
  47. R. Lysecky, N. Sandoval, S. Whitsitt, C. Mackin, J. Sprinkle. Efficient Reconfiguration Methods to Enable Rapid Deployment of Runtime Reconfigurable Systems. Asilomar Conference on Signals, Systems and Computers, 2013.
  48. N. Sandoval, C. Mackin, S. Whitsitt, R. Lysecky, J. Sprinkle. System Throughput Optimization and Runtime Communication Middleware Supporting Dynamic Software-Hardware Task Migration in Data Adaptable Embedded Systems. IEEE International Conference on Engineering of Computer-Based Systems (ECBS), 2013.
  49. L. Ding, A. Lizarraga, S. Lysecky, R. Lysecky, A. Gordon-Ross. Accuracy-Guided Runtime Adaptive Profiling Optimization of Wireless Sensor Networks. IEEE International Conference on Engineering of Computer-Based Systems (ECBS), 2013.
  50. J. C. Lee, R. Lysecky. System Observation of Blocking, Non-Blocking, and Cascading Events for Runtime Monitoring of Real-Time Systems. IEEE International Conference on Engineering of Computer-Based Systems (ECBS), 2013.
  51. B. P. Zeigler, D. Kim, C. Seo, T. Pifer, R. Lysecky. Linking Activity to Information and Energy in Hardware, Activity-Based Modeling & Simulation (ACTIMS) 2012, ITM Web of Conferences, pp. 1-10, 2013.
  52. S. Whitsitt, J. Sprinkle, R. Lysecky. An Overseer Control Methodology for Data Adaptable Embedded Systems. International Workshop on Multi-Paradigm Modeling (MPM), pp. 1-6, 2012.
  53. J.C. Lee, F. Kouteib, R. Lysecky. Event-Driven Framework for Configurable Runtime System Observability for SOC Designs. International Test Conference (ITC), pp. 1-10, 2012.
  54. J. Mu, R. Lysecky. Adaptive Online Heuristic Performance Estimation and Power Optimization for Reconfigurable Embedded Systems. International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS), pp. 265-274, 2012.
  55. V. K. Nileshwar, R. Lysecky. SNR Analysis Approach for Hardware/Software Partitioning using Dynamically Adaptable Fixed Point Representation, ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 27-32, 2012.
  56. A. Milakovich, V. S. Gopinath, R. Lysecky, J. Sprinkle. Automated Software Generation and Hardware Coprocessor Synthesis for Data-Adaptable Reconfigurable Systems IEEE International Conference on Engineering of Computer-Based Systems (ECBS), pp. 15-23, 2012.
  57. A. Munir, A. Gordon-Ross, S. Lysecky, R. Lysecky. Online Algorithms for Wireless Sensor Netowrks Dynamic Optimization. IEEE Consumer Communications and Networking Conference (CCNC), pp. 180-187, 2012.
  58. V. S. Gopinath, J. Sprinkle, R. Lysecky. Modeling of Data Adaptable Reconfigurable Embedded Systems. IEEE Workshop on Model-Based Development for Computer Based Systems, pp. 276-283, 2011.
  59. J. C. Lee, A. S. Gardner, R. Lysecky. Hardware Observability Framework for Minimally Intrusive Online Monitoring of Embedded Systems. IEEE International Conference on Engineering of Computer-Based Systems (ECBS), pp. 52-60, 2011.
  60. S. Mahadevan, V. S. Gopinath, R. Lysecky, J. Sprinkle, J. Rozenblit, M. W. Marcellin. Hardware/Software Communication Middleware for Data Adaptable Embedded Systems. IEEE International Conference on Engineering of Computer-Based Systems (ECBS), pp. 34-43, 2011.
    Received Best Paper Award
  61. A. Milakovich, V. Gopinath, R. Lysecky, J. Sprinkle. Automated Software Generation and Hardware Coprocessor Synthesis for Data-Adaptable Reconfigurable Systems. Workshop on Adaptive and Reconfigurable Embedded Systems (APRES), 2011.
  62. Mu, J., R. Lysecky. Profile Assisted Online System-Level Performance and Power Estimation for Dynamic Reconfigurable Embedded Systems. Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 737-742, 2011.
  63. J. Sun, R. Zheng, J. Velamala, Y. Cao, R. Lysecky, K. Shankar, J. Roveda. A Self-Evolving Design Methodology for Power Efficient Multi-core Systems. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 264-268, 2010.
  64. A. Munir, A. Gordon-Ross, S. Lysecky, R. Lysecky. . A One-Shot Dynamic Optimization Methodology for Wireless Sensor Networks. International Conference on Mobile Ubiquitous Computing, Systems, Services (UBICOMM), 2010.
    Received Best Paper Award
  65. A. Munir, A. Gordon-Ross, S. Lysecky, R. Lysecky. A Lightweight Dynamic Optimization Methodology for Wireless Sensor Networks. IEEE International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), pp. 129-136, 2010.
  66. J. Hiner, A. Shenoy, R. Lysecky, S. Lysecky, A. Gordon-Ross. Transaction-Level Modeling for Sensor Networks Using SystemC. IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC), pp. 197-204, 2010.
  67. J. Sun, R. Lysecky, K. Shankar, A. Kodi, A. Louri, J. Wang. Workload Capacity Considering NBTI Degradation in Multi-core Systems. Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 450-455, 2010.
  68. K. Shankar, R. Lysecky. Non-Intrusive Dynamic Application Profiling for Multitasked Applications. Design Automation Conference (DAC), pp. 130-135, 2009.
  69. L. Saldanha, R. Lysecky. Hardware/Software Partitioning of Floating Point Software Applications to Fixed-Point Coprocessor Circuits. International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS), pp. 49-54, 2008.
    Received Best Paper Award
  70. A. Nair, R. Lysecky. Non-Intrusive Dynamic Application Profiler for Detailed Loop Execution Characterization. International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES), pp. 23-30, 2008.
  71. M. Hammerquist, R. Lysecky. Design Space Exploration for Application-Specific FPGAs in System-on-a-Chip Designs. IEEE International SOC Conference (SOCC), pp. 279-282, 2008.
  72. R. Lysecky. Low-Power Warp Processor for Power Efficient High-Performance Embedded Systems. IEEE/ACM Design Automation and Test in Europe Conference (DATE), pp. 141-146, 2007.
  73. D. Sheldon, R. Kumar, R. Lysecky, F. Vahid, D. M. Tullsen. Application-Specific Customization of Parameterized FPGA Soft-Core Processors. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 261-268, 2006.
  74. D. Sheldon, R. Kumar, F. Vahid, D. M. Tullsen, R. Lysecky. Conjoining Soft-Core FPGA Processors. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 694-701, 2006.
  75. R. Lysecky, F. Vahid, S. Tan. A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 57-62, 2005.
  76. R. Lysecky, F. Vahid. A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning. IEEE/ACM Design Automation and Test in Europe Conference (DATE), pp. 18-23, 2005.
  77. Lysecky, R., K. Miller, F. Vahid, K. Vissers, K. POSTER: Firm-core Virtual FPGA for Just-in-Time FPGA Compilation. ACM International Symposium on Field-Programmable Gate Arrays (FPGA), pp. 271-271, 2005.
  78. R. Lysecky, F. Vahid, S. Tan. Dynamic FPGA Routing for Just-in-Time Compilation. IEEE/ACM Design Automation Conference (DAC), pp. 954-959, 2004.
  79. R. Lysecky, F. Vahid. A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning. IEEE/ACM Design Automation and Test in Europe Conference (DATE), pp. 480-485, 2004.
  80. C. Zhang, F. Vahid, R. Lysecky. A Self-Tuning Cache Architecture for Embedded Systems. IEEE/ACM Design Automation and Test in Europe Conference (DATE), pp. 16-20, 2004.
  81. R. Lysecky, F. Vahid. A Codesigned On-Chip Logic Minimizer. IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp. 109-113, 2003.
  82. R. Lysecky, F. Vahid. On-Chip Logic Minimization. IEEE/ACM 40th Design Automation Conference (DAC), pp. 334-337 2003.
  83. G. Stitt, R. Lysecky, F. Vahid. Dynamic Hardware/Software Partitioning: A First Approach. IEEE/ACM 40th Design Automation Conference (DAC), pp. 250-255, 2003.
  84. R. Lysecky, S. Cotterell, F. Vahid. A Fast On-Chip Profiler Memory. IEEE/ACM 39th Design Automation Conference (DAC), pp. 28-33, pp. 28-33, 2002.
  85. G. Stitt, F. Vahid, T. Givargis, R. Lysecky. A First-step Towards an Architecture Tuning Methodology for Low Power. IEEE/ACM International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES), pp. 187-192, Novemeber 2000.
  86. R. Lysecky, F. Vahid, T. Givargis. Experiments with the Peripheral Virtual Component Interface. IEEE/ACM International Symposium on System Synthesis (ISSS), pp. 221-224, September 2000.
  87. R. Lysecky, F. Vahid, T. Givargis. Techniques for Reducing Read Latency of Core Bus Wrappers. IEEE/ACM Design Automation and Test in Europe Conference (DATE), pp. 84-91, March 2000.
    Received Best Paper Award
  88. R. Lysecky, F. Vahid, T. Givargis, R. Patel. Pre-fetching for Improved Core Interfacing. IEEE/ACM International Symposium on System Synthesis (ISSS), pp. 51-55, 1999.

Technical Reports

  1. Hammerquist, M. R. Lysecky. Technical Report on Design Space Exploration and CLB Customization for Application-Specific FPGAs. University of Arizona Technical Report UA-041010-RL-02, pp. 1-9, 2010. PDF
  2. Shankar, K., R. Lysecky. Technical Report on Non-Intrusive Dynamic Application Soft Error Detection. University of Arizona Technical Report UA-031510-RL-01, pp. 1-7, 2010. PDF
  3. J. Villarreal, R. Lysecky, S. Cotterell, F. Vahid. Loop Analysis of Embedded Applications. UC Riverside Technical Report UCR-CSE-01-03, 2001. PDF

Invited Talks

  1. Online Materials and Teaching for CS/CE: Research, Experiences, and Recommendations for Going Online due to COVID-19. Annual ACM Southeast Conference, Tampa, FL, April 2020. (Keynote)
  2. Improving CS/CE Education: Recent Research and Experiences. Consortium for Computing Sciences in Colleges: Midwest, Lisle, IL, October 2019. (Keynote)
  3. Challenges in Healthcare Simulation—Clinical and Research Perspectives. Modeling and Simulation in Medicine, Spring Simulation Conference, Tucson, AZ, May 2019. (Invited Talk & Panel)
  4. Reducing the Impact of Medical Device Hacks on Patients. Health Journalism 2018, Phoenix, AZ, April 2018. (Invited Talk & Panel)
  5. Becoming Hackproof in Medtech Through Engineering. The MedTech Forum 2018, Brussels, Belgium, January 2018. (Invited Talk & Panel)
  6. The Internet of Good Things and Bad Things, University of Arizona, Aerospace and Mechanical Engineering Seminar, Tucson, AZ, November 2017.
  7. Runtime Anomaly Detection (RAD), Colorado State University, Fort Collins, CO, February 2017.
  8. Runtime Anomaly Detection (RAD), University of California, Irvine, November 2016.
  9. The SOC Whisperer, University of Illinois, Chicago, April 2015.
  10. Stop Teaching with One Hand Tied Behind Your Back, ACM Technical Symposium on Computer Science Education (SIGCSE), March 2015.
  11. The Real Power of the Web for Computing/Engineering Education, University of Missouri - Kansas City, March 2015.
  12. The SOC Whisperer, Northeastern University, Boston, MA, October 2014.
  13. Data-driven Design Methods and Optimization for Adaptable High-Performance Systems, Oak Ridge National Laboratory, January 2014.
  14. Modeling and Codesign Methods for Data Adaptable Reconfigurable Embedded Systems, University of Arkansas, October 2011.
  15. Hardware Observability Framework for Non-Intrusive Monitoring of Complex Embedded Systems, Workshop on Compiler Assisted System-on-Chip Assembly (CASA), October 2010. (Invited Talk)
  16. Dynamic and Autonomous Software-to-Hardware Translation for High-Performance and Low-Power Embedded Computing, Computer Engineering and Computer Science Seminar, University of Arizona, Tucson, AZ, March 2009.
  17. Autonomously Adaptive Computing: Coping with Scalability, Reliability, and Dynamicity in Future Generations of Computing, Kavli/NNIN Symposium on Computing, Cornell University, Ithaca, NY, October 2008 (Invited Talk)