`timescale 1 ns/1 ns module LaserTimer_TB(); reg Rst_s, Clk_s, B_s; wire X1P_s; wire X2P_s; LaserTimer_1P Mod1(B_s, X1P_s, Clk_s, Rst_s); LaserTimer_2P Mod2(B_s, X2P_s, Clk_s, Rst_s); // Clock Procedure always begin Clk_s <= 0; #10; Clk_s <= 1; #10; end // Vector Procedure initial begin Rst_s <= 1; // set the FSM's initial state B_s <= 0; // initialize inputs @(posedge Clk_s); #5 Rst_s <= 0; // if reset stays high FSM remainis in initial state @(posedge Clk_s); #5 B_s <= 1; @(posedge Clk_s); #5 B_s <= 0; end endmodule